The Competitive Landscape in the Global Semiconductor Foundry Industry

August 21, 2023 / Ben Bajarin

This is a summary of Episode 30 of the Circuit with Ben Bajarin and Jay Goldberg.

The semiconductor foundry business plays a crucial role in supplying leading-edge chips for everything from smartphones to data centers. As demand for semiconductors continues to grow, there is increasing attention on the competitive dynamics between the major foundry players – Taiwan Semiconductor Manufacturing Company (TSMC), Samsung, and Intel.

During the podcast, Jay Goldberg and Ben Bajarin provided analysis on the key factors that will determine market share between these foundries in the coming years. They highlighted that while process technology remains the most critical factor, customer service and support is also an extremely important consideration for foundry selection. On both fronts, TSMC currently holds significant advantages over rivals Samsung and especially Intel. Bajarin and Goldberg agree there is a TAM expansion in dollars at the leading edge, but how much is still a question.

TSMC’s process technology has consistently led the industry. But just as importantly, their customer collaboration, tools, and support for debugging and problem resolution is superior. This makes the process of working with TSMC much smoother for chip designers.

In contrast, Samsung lags on both process and customer service capabilities but is also deeply invested in competing at the leading edge and winning customers TSMC is unable to service. Intel is still driving their process technology forward and working to close the gap. But many challenges remain, and the Tower Semiconductor deal could have helped address gaps in the services side as well as talent skilled at running a customer-centered foundry. Even if Intel executes successfully on improving their process, convincing customers like Nvidia and Qualcomm to switch foundries will take considerable time and effort.

The experts estimate it would take over a year, even under ideal circumstances, for major customers to port their chip designs to Intel’s foundry process. This is because each foundry uses slightly different processes, tools and design rules. Migrating designs from TSMC or Samsung to Intel, therefore, requires significant re-engineering work.

Since foundry switching rarely happens mid-product cycle, Intel likely has to wait for next-generation chip designs to have a chance of winning back leading-edge business. TSMC’s process leadership and collaboration makes it difficult for customers to justify the costs and risks of swapping foundries. While Intel aims to compete for advanced foundry share by 2025, it faces immense challenges to catch up.

That being said, Intel and Samsung’s efforts suggest the market is headed for more intense competition between the major foundries. As Goldberg and Bajarin discuss, it is unhealthy for the entire electronics supply chain to rely solely on TSMC for the majority of leading-edge manufacturing.

But despite Intel’s efforts, TSMC seems poised to maintain its process technology advantage for the foreseeable future. The real battle will be between Samsung and Intel for second place in advanced foundry revenue. By 2025, it should become clearer which foundry establishes itself as the main alternative to TSMC at the leading edge.

In conclusion, while the foundry industry would benefit from more balance between the major players, TSMC’s dominance is unlikely to be seriously threatened in the next few years. For Intel and Samsung, it will require excellence in both process R&D and customer relationships to gain ground. But semiconductor leadership can change quickly, so the competitive situation will be one to watch going forward.

Join the newsletter and stay up to date

Trusted by 80% of the top 10 Fortune 500 technology companies