Intel IFS Connect: Positioning Intel Foundry for the AI Systems Era

February 23, 2024 / Ben Bajarin

Intel hosted an event, which was essentially the Intel Foundry coming out party.  It is a historic event and a critical part of the puzzle for the Intel turnaround story.


Key Takeaways

  • Intel Foundry Looks best positioned for “systems” manufacturing which encompasses chipsets/advanced packaging
  • Microsoft announced as a customer for 18A along with $15B lifetime revenue already booked across all customers for Intel Foundry
  • All eyes will be on Intel Foundry Economics to further understand how/when Intel Foundry can become sustainable on its own

 

Intel is setting itself up to the be foundry for the next era of leading edge chip design and manufacturing. Its investments in advanced packaging are much more robust than TSMCs and I think an important analogy can be used here for framing. The bulk of TSMC’s revenue and volume (capacity) comes from mobile SoC chips made by Apple, Mediatek, and Qualcomm. TSMC was and still is the foundry of choice for the mobile era. In a similar way, Intel is setting itself up for the next era of design and manufacturing and a huge bet is being made that chiplet designs and advanced packaging will be common place by everyone designing semiconductors for and in the AI era. Essentially the mobile era was about systems on a chip (SoCs) and the AI era will be about systems of chips in a package. If Intel is right that the AI era of chip design and manufacturing will move to systems of chips in package and away from monolithic designs then they are absolutely better positioned than TSMC for at least the next 3 years and possibly longer.  In a way there is an element of disruption theory to tease out here that while TSMC is not yet in a position to spend massive capex on advanced packaging/chiplet design solutions because so much of their business still revolves around monolithic design. Intel doesn’t have that burden and can fully invest in the future of semiconductor manufacturing.  The question will be, by the time TSMC starts to adopt this shift at scale, how far behind will they be.

That said, Intel Foundries needs to stand on its own without Intel product group having to front the entire bill and needed federal aid from the US government. In the near future, Intel will release financials for foundry and at that point we can more deeply analyze what the revenue growth potential is for Intel Foundry and at what point it looks like it can at least be sustainable. Pat Gelsigner has publicly stated that they want to be the #2 foundry in the world, which is not just a technology capability statement but also a financial one.  In order to do that Intel Foundry needs to make north of $16B dollars a year and while they will get close to that with Intel product revenue alone, they need multiple other customers, with wafer scale, to accomplish that goal and maintain it.  Announcing $15B of lifetime revenue from current contracts is a good start but that likely amounts to low single digit billions annually. This is a 5+ year journey for Intel to reach this goal but all the pieces are there and on paper this goal looks achievable.

Ultimately, however, I firmly believe we can put to rest any debate that Intel is not truly serious about its foundry efforts this time. This is a different Intel with a different leader. Intel is undoubtedly not only serious, but well positioned for the AI era of chip design. This does not mean they won’t or are not capable of making monolithic SoCs for mobile companies at scale, they certainly have the tools to do so, but that their true differentiation from TSMC comes around the systems approach for chiplet and advanced packaging of complex designs and that sets them up well for how semiconductors will evolve and be made over the next 10 years.

 

Summary of event news:

Intel Foundry Launch and Sustainable Focus

  • Intel announced the launch of Intel Foundry, emphasizing a sustainable systems foundry business for the AI era.
  • Aim to be the world’s first systems foundry optimized for AI, intending to revolutionize technology usage for societal improvement.

Extended Process Roadmap and Node Innovations

  •  Introduction of Intel 14A to the process technology roadmap, with special node evolutions planned.
  • Intel’s ambitious 5-nodes-in-4-years (5N4Y) strategy, including backside power solutions, is on track.
  • Assurance of regaining process leadership with Intel 18A in 2025.

Customer Engagement and Ecosystem Support

  • Microsoft planning to use Intel 18A process for a chip design, underscoring customer support for Intel’s foundry approach.
  • Intel Foundry boasts design wins across various process generations (Intel 18A, 16, and 3) and packaging capabilities.

IP and EDA Vendor Collaboration

  • Synopsys, Cadence, Siemens, Ansys, and others have declared tool qualification and IP readiness for Intel’s advanced processes and packaging technologies.
  • Collaborations on assembly technology and design flows for Intel’s advanced packaging technologies, aiming for faster development and production.

Emerging Business Initiative with Arm

  • Launch of the “Emerging Business Initiative” with Arm to support startups in developing Arm-based SoCs by providing design expertise, manufacturing support, and financial assistance.

Intel’s Unique Systems Foundry Approach

  • Offering optimizations across factory networks to software, aiming at total system innovation.
  • Promoting continuous technology improvements, reference designs, and new standards contributing towards a full-stack optimization.

Commitment to Sustainability

  • Intel aims for 100% renewable electricity worldwide, net-positive water, and zero waste to landfills by 2030
  • Goals include net-zero Scope 1 and Scope 2 Greenhouse Gas (GHG) emissions by 2040 and net-zero upstream Scope 3 emissions by 2050

 

 

 

 

Join the newsletter and stay up to date

Trusted by 80% of the top 10 Fortune 500 technology companies